可置位计数器

可置位计数器

题目描述
请编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号zero,当置位信号set 有效时,将当前输出置为输入的数值set_num。
模块的接口信号图如下:
在这里插入图片描述

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input set,
	input [3:0] set_num,
	output reg [3:0]number,
	output reg zero
	);
    reg [3:0] num;
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                num <= 4'b0;
            else if(num == 4'd15)
                num <= 4'b0;
            else if(set == 1'b1)
                num <= set_num;
            else
                num <= num + 1'b1;
        end
    
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                number <= 4'b0;
            else
                number <= num;
        end
    
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                zero <= 1'b0;
            else if(num == 4'd0)
                zero <= 1'b1;
            else
                zero <= 1'b0;
        end
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值